From 63248f4edd4c8c4ad47d0cc46e1f7c08371054d4 Mon Sep 17 00:00:00 2001 From: bunnei Date: Sat, 23 Nov 2019 16:01:06 -0500 Subject: Update svc.cpp --- src/core/hle/kernel/svc.cpp | 1 + 1 file changed, 1 insertion(+) (limited to 'src/core') diff --git a/src/core/hle/kernel/svc.cpp b/src/core/hle/kernel/svc.cpp index 04ca09482..4c3b53a88 100644 --- a/src/core/hle/kernel/svc.cpp +++ b/src/core/hle/kernel/svc.cpp @@ -17,6 +17,7 @@ #include "core/core.h" #include "core/core_cpu.h" #include "core/core_timing.h" +#include "core/core_timing_util.h" #include "core/hle/kernel/address_arbiter.h" #include "core/hle/kernel/client_port.h" #include "core/hle/kernel/client_session.h" -- cgit v1.2.3