From e33b56b49af7b9ba1b848e38e4aff3f88bc2e362 Mon Sep 17 00:00:00 2001 From: erorcun Date: Sat, 21 Aug 2021 15:13:23 +0300 Subject: VC bits --- src/peds/PlayerPed.h | 3 +++ 1 file changed, 3 insertions(+) (limited to 'src/peds/PlayerPed.h') diff --git a/src/peds/PlayerPed.h b/src/peds/PlayerPed.h index 796a6ca0..2e9f7989 100644 --- a/src/peds/PlayerPed.h +++ b/src/peds/PlayerPed.h @@ -40,6 +40,9 @@ public: CVector m_cachedCamFront; CVector m_cachedCamUp; #endif +#ifdef VC_PED_PORTS + static bool bDontAllowWeaponChange; +#endif CPlayerPed(); ~CPlayerPed(); -- cgit v1.2.3